Абстрактный

Implementation of Cordic Algorithm for FPGA Based Computers Using Verilog

M.Chakrapani, J.S.S.Ramaraju, Ch.N.L.Sujatha

The CORDIC algorithmic is an iterative computing algorithm capable of evaluate various elementary functions using a unified shift-and-add approach Used to calculate a wide variety of functions. It consists of no. of addersub tractors, shift registers depend upon complexity of operation. This paper presents mode of operation of CORDIC algorithm and control CORDIC algorithm. The result has been shown in this paper that resolution of CORDIC algorithm is best for implementing many trigonometrically functions. Further, in this paper, control CORDIC algorithm take advantage of Angle and Quadrant correction.

Индексировано в

Академические ключи
ResearchBible
CiteFactor
Космос ЕСЛИ
РефСик
Университет Хамдарда
научный руководитель
Импакт-фактор Международного инновационного журнала (IIJIF)
Международный институт организованных исследований (I2OR)
Cosmos

Посмотреть больше